From 94b1a4541aafde6f93be626b1ba912e82435ea2b Mon Sep 17 00:00:00 2001 From: Dominik Meyer Date: Sun, 9 Feb 2014 13:56:47 +0100 Subject: [PATCH] FIX: fixed working of TestBench --- src/TBRechner.vhd | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/src/TBRechner.vhd b/src/TBRechner.vhd index d57feb4..fb268cd 100644 --- a/src/TBRechner.vhd +++ b/src/TBRechner.vhd @@ -83,10 +83,11 @@ BEGIN -- Stimulus process stim_proc: process begin + reset <= '1'; -- hold reset state for 100 ns. wait for 100 ns; - reset <= '1'; + reset <= '0'; wait for clk_period*10;